VL buggy pin name behaviour for process nodes

beta 40 preview

edit: only applies for process nodes, pin names work as expected for implementations in Operations
Haven’t tried if this also shows in gamma, but:

image

Results in this Beta node (+ VL node):
image

image

State Input is gone until you rename it to something else than “State Input”:
image
image

Same applies for Connect To Signature.

Ähm yes, this behavior should also be observable in VL itself. Currently the system checks for the presence of a pin named “Input” and if so uses “State Input” instead for the auto-generated state input pin. Now obviously this “dodging” doesn’t work anymore if the name “State Input” is already taken. So one option would be to prefix all the time, then we’d end up with “State State Input”, “State Input” and “Input”. Other option would be a warning/error message somewhere.
The cleanest way would be to not introduce the name “Input” in the first place, like in your example say the initial pin would’ve been called “Energy” this whole issue would’ve never emerged… hm

This topic was automatically closed 365 days after the last reply. New replies are no longer allowed.